vhdl

    0

    2答えて

    Modelsimで直列加算器の動作モデルを作成しようとしています。 デザインでは、1クロックサイクル後にCarry_outをCarry_inに渡そうとしています。 設計である: 1ビット、2つのnビット数から各キャリーと共に加算器に入ります。 最初にキャリーは0ですが、次のクロックサイクルで、前のビットの加算からのcarry_outが再びcarry_inとして渡され、次の2つのビット、各番号からの

    2

    1答えて

    VHDLのエンティティの構文について混乱します。 出典::私はについて混乱している何Peter J. Ashenden, "The Designers Guide to VHDL", 3rd ed., Morgan Kaufmann, 2008. は、宣言の終わりです。ここ実体が宣言されるべきかEBN formのルールがあります。これによると、エンティティまたはの識別子を最後に含める必要はなく、す

    1

    1答えて

    VHDLに何か書き込もうとしていますが、動作しません。ここに私のコードの一部です:Line 62. parse error, unexpected WITH: case currentState is when ST000 => with A select nextState <= ST025 when "01", ST050 when "10",

    0

    1答えて

    次のコードは、2つの数値をbcdで加算するためのコードです。いくつかの値を入力するたびに、最も重要な4ビットの出力が不正確(X)になります。私はこれでどこが間違っているのか分かりません。 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bcd_adder1 is port(a,b

    -1

    1答えて

    CPLD(つまりCoolRunner II Pro)を使用してVHDLにシフトレジスタ(値のスクロール表示用)を実装するにはどうすればよいですか? 最終製品が100間の周波数を測定することが可能なデジタル周波数計であることを意味する - 100kHzの

    1

    1答えて

    私はVerilogとvhdlの2つのコードを持っています。これは16ビットの2進数で1つのコードの数を数えます。どちらも同じことですが、ザイリンクスISEを使用して合成した後、私は異なる合成レポートを取得します。 Verilogコード: module num_ones_for( input [15:0] A, output reg [4:0] ones ); int

    0

    1答えて

    イーサネットパケット送信に使用されるLinuxサーバボックスに接続されたFPGAボードを使用しています。 10パケットごとに1つのLEDが1回点滅し、処理が続行されます。つまり、100個のパケットを送信すると、LEDが10回点滅するはずです。私は実行しようとしたVHDLのソースコードを共有しましたが、それは私が望むやり方では機能しません。何か助けや提案は本当に感謝されます。前もって感謝します。 -

    0

    1答えて

    ISIMでVHDLコードをシミュレートしようとすると、すべての出力に対して唯一Uが表示されます。 ちょうど3つのカスケードD型フリップフロップで構成されています。 そして、ここに私のVHDLコードは次のとおりです。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity az_4_2 is Port (clk: in std_logic;

    0

    1答えて

    すべての可能性のあるケースで私の回路をテストしたい。 私はベクトルで書きましたが、ベクトルの値はポートに接続されていないようです。 私のポートマッピングは良くありませんか? LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY UNISIM; USE UNISIM.Vcomponents.A

    -1

    1答えて

    私はVHDLプログラミングの初心者で、現在Linuxサーバから生成した10個のイーサネットパケットをすべて送信した後、FPGAボードのLEDがオンになるプログラムを実行しようとします。私が書いたコードは、以下では正しく動作しません。私は問題を理解しようとしていますが、まだ取り消しています。どんな助けでも大歓迎です。私はあなたのコードに基づいて仮定を作っています ------------------