vhdl

    0

    1答えて

    インスタンス化されたコンポーネントを持つ4ビットカウンタを作成しようとしています。私がシミュレートすると、出力は0とX(未知の信号)の間で切り替わります。私は何が間違っているのか分かりません。 シミュレーション、回路図、およびコードを以下に示します。 4ビットモジュロカウンタ ビットスライス library ieee; use ieee.std_logic_1164.all;

    -5

    1答えて

    enter image description here エラー(10500):lab_06.vhd(54)のテキスト "shifta"にVHDL構文エラーがあります。 Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis

    1

    1答えて

    私は知っている、変数はプロセスでのみ許可されていますが、なぜあなたはそれらをループで使用することはできません。 このような構造を合成する際には、前に評価されているため問題はありません。 この制限がなくてもコードを読みやすくすることができます。 lbl1: for i in data_out'range generate lbl2a: component comp_a port

    1

    1答えて

    信号を事前定義された値のリストと比較して、少なくともこの値と同じ値が存在するかどうかを確認したい(または、同じ値がリスト)。 例アプリケーション:受信したコマンドを有効なコマンドの事前定義されたリストと比較し、コマンドが無効な場合はエラー状態になります。想像してみれば、有効なコマンドは3つだけです(0x00、0x01、0xFF)。そして、このコードは、仕事をするでしょう: --valid comm

    0

    1答えて

    クロック周期を変更して新しいテストケースを再作成しなくても、異なるクロック周波数で現在のテストケースを実行することに興味があります。 tclスクリプトを使用すると、このようなことが改善されるでしょうか?例えば : testcase1 has process begin wait for CLK_PERIOD/2.0; CLK <= not CLK;

    1

    1答えて

    私はこのソフトウェアで多くのプロジェクトを行ってきました。無用なライブラリを大量に削除したいので、古いプロジェクト(ライブラリ)を削除することをお勧めします。

    0

    1答えて

    私はNexys 4 DDRのチュートリアルを取得していますし、私は簡単なMUX library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VComponents.all; -- Uncomment the following library declaration if using -- arithm

    0

    1答えて

    VHDLに畳み込み用のリングバッファを実装して汎用化したいと思います。私の問題は、信号や変数を追加することなく内部データを初期化する方法です。 通常私は signal initialized_vector : std_logic_vector(15 downto 0) := (others => '0'); でSTD_LOGIC_VECTORをintializeすることができますしかし、私はど

    -1

    1答えて

    私が使用しているセンサーは、16ビットワードを返すと私は表現を使用する必要がある実際の値に変換します、 式は((175.72*16b_word)/65536)-46.85. であることができます私16ポジションを右にシフトすることで分ける? 私は数時間前から検索しましたが、まだ10進表記法とは何の手がかりもありません。誰かがそれを解決する方法の例を持っていますか?

    0

    1答えて

    私のポイント(SQ_X2、SQ_Y2)を2D平面(TFT-LCD)で動かしたいだけです。 以下は私のコードの一部です。 PSW(プッシュボタンスイッチ)を押して、ポイントをxまたはyに5回転させます。 1つのプロセス文で次のコードをマージすると、「その不正な同期記述」というエラーが発生するという問題があります。 この問題を回避するために、4つのProcess文を宣言しますが、Signal SQ_X