vhdl

    1

    1答えて

    書き込みと読み取りの操作(8ビットデータ)を使用してI²Cスレーブを実装しようとしています。コードの書き込み部分がうまく動作しています。読んでいるのが正しく来ていない、私はそのすべての "11111111"を意味します。 読み込み部では、スレーブアドレスを取得してから、読み込み元のレジスタ番号を書き込む代わりに、すべての "11111111"が表示されます。私はそれについて助けが必要です。そして、

    1

    1答えて

    私はラティスのダイヤモンドソフトウェアで書かれた複数のVHDLファイル(すべてエラーなしでコンパイル)を持つFPGAプロジェクトを持っています。問題は、私が見るすべてのピンを1つのVHDLファイルの入力と出力に割り当てることです。そのファイルを削除すると、別のものが表示されます。ネットリストアナラ​​イザは同じ動作をします。 同じプロジェクト内に複数のVHDLファイルを作成することは可能ですか、す

    0

    2答えて

    平均変数値を2次元配列の特定の場所にコピーします。このコードはarray_new_signal11(3,2)です。 どのように私はこれを行うことができますか?このコードは、シミュレーション中にエラーが発生します。 architecture Behavioral of Correction is type array_new is array (0 to 4, 0 to 4) of intege

    1

    2答えて

    私はVHDLプログラムで作業しており、ALTERA DE2-115を使用してRAM 256を実行する必要があります。出力は7セグメント表示で表示されます。 問題は次のとおりです。dataout出力変数があります。その後、変数はtemp_ram、配列の次の値があります。 dataout <= temp_ram(conv_integer(dir)); その後、私は入れてdataoutのvaules

    1

    1答えて

    私は一緒に属している(同じクロックでサンプリングされていますが)論理的に既存の部分のベクトル(つまりパラレルバスではありません)コードを変更する必要があります。ほとんどの意図や目的、配列とベクトルはかなり扱うことができるため subtype my_subtype is std_logic_vector(my_width - 1 downto 0); signal my_signal : my_s

    0

    1答えて

    私はVHDLを初めて使っていますが、何か考えがあります。私はこのLFSRを作ったが、なぜ初期のシード値と他のXOR値との間にこだわるのか分からない。 私はアルテラのQuartus 16 LiteとISimを扱っています。私はランダムタップが、まだ改善でチェックとして library ieee; use ieee.std_logic_1164.all; --creating a galois

    0

    1答えて

    GHDLで新しくなりました。これは私のVHDLコードです:ghdl -s test.vhdでこれをコンパイルしようとすると /* Name: test.vhd Description: Demonstrates basic design in VHDL code. Date: 04. August 2017 AD */ --first part: libraries library

    2

    1答えて

    私はghdlシミュレータが新しく、ウィンドウでghdlを使用しています。私は自分のコンピュータにghdl(ghdl-0.33-win32)をセットアップし、単純な加算器コードを試してみました。私は現在David Bishopの固定小数点パッケージと浮動小数点パッケージを使用した数学方程式をシミュレートする必要があります。私は私にライブラリを含めて、それをコンパイルすると、エラーを与える: "fix

    1

    1答えて

    長さを減らしてstd_logic_vectorsの配列を作成しようとしています。私は、ジェネリックstd_logic_vectorで配列を作成し、ベクトルを生成するためにgenerateステートメントを使用しようとしました。ジェネリックSTD_LOGIC_VECTORを返す関数と一緒に architecture behavioral of dadda_mul_32bit is type and

    -2

    1答えて

    バケーションリサーチの学生プロジェクト、アンダーグラド、私たちのどれくらい、おそらく4〜6人でしょうか、私たちは動機付けられています。 元の提案では、CIDカメラセンサーをダムペリフェラルとして動作させるためのFPGA(Artix-7またはZ-board)を入手し、その上にいくつかの基本的な画像処理を行いました(おそらくエッジ検出と動的ウィンドウ処理)ビットマップをPCに出力することができます。