vhdl

    2

    4答えて

    VHDLのスタックまたはキューの動作をどのようにシミュレートできますか?すべてのポインタ? 私はビットの論理シフト演算のようなものを使用することを考えましたが、スタックの制約が空であるかどうか、またはスタックのオーバーフローの場合をチェックする方法はありますか?

    1

    3答えて

    これは59までカウントする次のコードを持っています。それはうまく始まりますが、31の後に数字の代わりに '('、 '$'、 '#'などのようなASCII文字を表示し始めます。私は間違っているつもりです任意のアイデア? LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; entity counter is