quartus

    1

    1答えて

    VerilogとHDLを初めて使用しています。 クロッククロック(正と負)をカウントし、入力clkの最初の立ち上がりエッジからカウント機構を開始するN分周器 を実装したいと思います。 さらに、clk分周器は同期rst_nをサポートしなければなりません。 私はCNTレジスタに使用ラッチについての警告を取得し、アルテラのQuartusし、次のコードの合成後 module clk_divider_fsm

    -2

    1答えて

    4ビット乗算器に基づいて8ビット乗算器を設計しようとしています。これは私のコードです: module _8bit_multiply(a, b, q); input [7:0] a; input [7:0] b; output [15:0] q; wire [7:0] q0; wire [7:0] q1; wire [11:0] q2; wire [11:0] q3; wire

    0

    2答えて

    私はQuartus Prime Proを使用しています。 私のような機能を扱っています: library ieee ; use ieee.std_logic_1164.all; use ieee.numeric_std.all; function round_resize (a : unsigned; b : integer) return unsigned is variabl

    -1

    3答えて

    私はこのような何か記述しようとしています: [email protected](posedge bus_start) begin @(posedge scl) buffer[7] = sda; @(posedge scl) buffer[6] = sda; @(posedge scl) buffer[5] = sda; @(posedge scl) buf

    0

    1答えて

    私は論理計算機のように動作するコードを開発しようとしています。私はコードとテストベンチの両方をエラーなくコンパイルすることができました。 `timescale 1ns/1ps module AriLogCal_tb; logic [3:0] in_OpA; logic [3:0] in_OpB; logic [2:0] in_DoOpt; logic in_EqualTo; logic

    0

    1答えて

    最近、FPGA上で、そしてコンパイルがquartus_fitに移行する際に、アルテラのOpenClプロジェクトを行っています。私のPCにはRAMの80%以上が必要です(私は32GBあります)。そして、フィッティングは約10時間後につぶれてしまいます。フィッティングは、この量のリソースを取ることになっていますか?私はそれを解決する方法がわからない、合成が成功すれば、quartus fitterは完結

    1

    1答えて

    このエラーは数回の遭遇でしたが、私は自分のコードでこのエラーを解決する方法をまだ見ることができません。エラーとコードはどちらも下に表示されています。 Error (10818): Can't infer register for count[0] at 5bit_PHreg_vhdl.vhd(21) because it does not hold its value outside the cl

    -1

    1答えて

    基本的にVerilogの新機能であり、構文がどのように機能し、このようなことがわかりません。 下に4ビットカウンタをインクリメントまたはデクリメントするアルテラボード上の押しボタンスイッチを使用しよう 割り当てがあります。オンボードLEDを使用してカウンタの値を表示する必要があります。スイッチを使用してカウンタの方向を制御し、プッシュボタンを押してカウンタ値を変更します。 これは私が今までに得たこ

    0

    2答えて

    これはかなり一般的な質問です。いずれにしても、VHDLコードでは、次のようなCTエラーが発生する理由については、フォーラムを通って満足のいく回答が得られませんでした。私を助けてくれますか? VHDLコード library IEEE; use IEEE.std_logic_1164.all; entity design is port(clk:IN std_logic; reset:IN s

    0

    1答えて

    私はQuartusを使ってシミュレーションを実行し、Verilogコードを解析しています。私はインターネットとスタックオーバーフローを検索しましたが、私の質問の答えが出てきていないようです。 私はQuartusで開いたVerilogコードを使用してRTLビューアを実行しますが、Verilogコード自体を開くと、コンパイルとその他のオプションは無効になります。 QPFファイルを開いたときに動作するよ