quartus

    0

    1答えて

    アルテラのMax VとQuartusを使用していくつかの例を実行しているので、 "With Select When"ステートメントを使用するときに問題が発生します。私は以下のように簡単な2-4デコーダあります library ieee; use ieee.std_logic_1164.all; entity lesson9 is port( x: in std_logic

    0

    1答えて

    複数のフリップフロップをレジスタとして使用するためにgenerate関数を使用しようとしています。私は信号ビットベクトルを持っています。これは、各ビットが等価なフリップフロップへのD入力であることを望みますが、コンパイル後、出力Qにはドライバがないという警告が表示されます。 ここで私はその後、32フリップフロップを生成し、フリップフロップのD入力のそれぞれにbit_vector信号和の各ビットを設

    0

    1答えて

    私は友人と一緒にプロジェクトを進めています。以下は、私の RTLシミュレーションを実行したときのModelSimパネルのスクリーンショットです。 DUTがで表示されており、波形図を展開して波形図に追加することができます。 は、彼が同じようしかし、同じテストベンチを実行すると は、DUTは、SIMパネルでを表示されないので、彼はテストベンチが動作されているかどうかを確認することができません。 これが

    1

    1答えて

    私はVHDLとTcl/Tkの両方を新しくしています。まっすぐ質問する:私はModelsimでTcl/Tkを使う方法を知りたい。私がTcl/Tkで何も知らないとしますが、全加算器を起動するために次のVHDLコードがあります。 Tcl/Tkを使用して何ができるのですか?どのステップを取るべきですか。私は、アルテラのCyclone FPGAでQuartus Prime Standard Editionと

    -1

    1答えて

    こんにちは、私はまだシステムのVerilogで書いて新しいです。今日私はこれらのエラーに遭遇しました。私はこれらの手段が何を意味するのか知っていますが、間違いがどこにあるのか分かりませんでした。ここの誰かが私がどこにいるのかを指摘するのを助けることができればうれしいでしょう。 module control ( /* Input and output port declarations *

    0

    1答えて

    自分で作成した関数を使用しようとしています(初めて試みます。コンパイルしようとすると、次のエラーメッセージが表示されます。Error(13815):VHDL修飾型エラーAverageador.vhd(38):Qualified Expressionで指定された分割型は、コンテキストによって式に暗黙的に指定されている型と一致する必要があります。 除算は私の関数の名前です。この関数は16ビットの符号な

    -1

    1答えて

    によって生成されたFFTライブラリの使い方を理解していません。ライブラリはすでにMegacore Wizardによって生成されていることに注意してください。私はFPGAとVHDLの完全な初心者ですので、これが明らかであれば謝罪してください。

    0

    2答えて

    私はModelSim用のTCLスクリプトを作成しています。信号の出力値を定数と比較して、回路が正しく動作していることを確認します。私は入力信号を制御する方法を知っていますが、出力をエコーする方法を理解することはできません。 理想的には、すべてをCSVファイルにパイプすることができますが、スクリプトに合格/不合格を戻すだけで十分です。 bashの PS上のようなものと同様に:(/組合/ OUTF私の

    0

    2答えて

    私は学術プロジェクト用のブース乗数を作成しようとしていますが、私は奇妙なエラーが発生しています。これがQuartus IIに戻るか、VHDLと何か関係があるかどうかは不明です。私は、次のコードを分析し、詳しく説明してみてください 毎回、無期限のプロセスがフリーズ(私はそれがすでに時間のために実行持っていた)の分析&合成相の46%で、コンソールに最後の行は12127 Elaborating enti

    0

    1答えて

    まず最初にVHDLの初心者だと言わざるを得ないので、あまりにも愚かな質問を事前にお詫びしたいと思います。 私はADCのソフトIPを動作させようとしています。私はちょうどADCを使用したいのでFIFOも何もありません。 したがって、私はqsysファイルでIPコアを生成し、それを私のプロジェクトに組み込みました。私はプリスケーラでチャンネル8を起動しました。 チャンネル8に接続されている可変抵抗器から