2017-11-08 6 views
0

私はQuartusを使ってシミュレーションを実行し、Verilogコードを解析しています。私はインターネットとスタックオーバーフローを検索しましたが、私の質問の答えが出てきていないようです。QuartusでのVerilog HDLのコンパイル

私はQuartusで開いたVerilogコードを使用してRTLビューアを実行しますが、Verilogコード自体を開くと、コンパイルとその他のオプションは無効になります。 QPFファイルを開いたときに動作するように見えることに気付きました。

RTLビューアを使用するには、QPFファイルを作成する必要がありますか?もしそうなら、私は既存のVerilogコードでどうすればいいですか?

答えて

2

RTLビューアを使用するには、少なくともAnalysis & ElaborationタスクをQuartusソフトウェアで実行する必要があります。この作業では、RTL Viewerで使用されるロジック(コード)(参考:Intel® Quartus® Prime Standard Edition Handbook、pp。791と比較)に基づいてPre-Synthesisネットリストを生成します。 Quartus Projectを作成しないかぎり、このタスクを実行することはできません。

QPFファイルはQuartus Projectファイルです。新しいプロジェクトを作成し、前に作成したコードを追加するだけです。ちょうどProject > Add/Remove Files in Projectを押してください。

+0

ありがとうございました!やってみます。 – Sean

関連する問題