hardware-programming

    0

    2答えて

    これはかなり一般的な質問です。いずれにしても、VHDLコードでは、次のようなCTエラーが発生する理由については、フォーラムを通って満足のいく回答が得られませんでした。私を助けてくれますか? VHDLコード library IEEE; use IEEE.std_logic_1164.all; entity design is port(clk:IN std_logic; reset:IN s

    0

    1答えて

    私は自分のRaspberry Pi 3でハードウェアプログラミングを始めています。私は簡単なLEDプログラムを作成しています。 This is a picture of my circuit は、これは私がターミナルコマンドsudo python LED_TEST_7.pyとして実行しています私のコードです: import RPi.GPIO as GPIO import time pinNum

    2

    1答えて

    パート1があります:私は常にコードの重複を避けるために、Verilogでの関数を使用するように言われました に。しかし、私はモジュールでそれを行うことはできませんか?私の理解が正しいとすれば、すべての関数をVerilogでモジュールとして書き直すことができます。ただし、モジュールはalwaysブロックの内部からインスタンス化できません。この場合を除いて、私は常にモジュールに固執することができます。

    -5

    1答えて

    私は、クラスプロジェクトの普及したスペースシュートアップをプログラムしようとしており、モジュールのインスタンス化に関する問題にぶつかってきました。私たちは現在、発射物の動きをエンコードし、それらの配列を作成して「アクティブ化」するモジュールを持っています。 私たちの船は、ユーザーが望むほど多くの発射体を発射したいと思っています。発射体は、単に銃身から出て画面上を移動するだけです。 停止::これは、

    2

    2答えて

    Nand2Tetrisコースに基づいてDMuxのhdlコードを書いています。 CHIP DMux { IN in, sel; OUT a, b; PARTS: And(a = sel, b = in, out = b); Not(in = sel, out = selNot); And(a = in, b = selNot, out = a); } 何らかの理由により、このコー

    1

    1答えて

    私はarduinoプログラミングで新しい(新しいパーチングボードと私も)です。私はardinoメガボードに自分のコードをアップロードしていたときに、私は次のエラー私はこの問題を解決する方法 Arduino: 1.8.2 (Windows 10), Board: "Arduino/Genuino Mega or Mega 2560, ATmega2560 (Mega 2560)" Sketch

    0

    1答えて

    LinuxでSRAM情報を読み取る方法はありますか?私は初期化されていないか初期化されているいくつかのバイトの状態を読み取る必要がありますか? ありがとうございました!

    0

    1答えて

    ジェンキンスでの統合テストが成功するかどうかに基づいて、実際のストップライトショーを赤色または緑色にする簡単なプログラムを作成しようとしています。 レッド - ノー グリーン - はい 私は、これは非常にあいまいで実現するが、Webでホストされている変数を使用ラズベリーパイからの光に任意の物理的なリレーをフックし、チュートリアルの任意の並べ替え私を得るために十分でなければなりません。

    -1

    1答えて

    私はxilinx ise 14.7とvhdlプログラミング言語で構造アーキテクチャを使用してコードを書き込もうとしています。私はmux_xorという名前のvhdlモジュールとQ1という名前のトップダウンモジュールを持っています。 Q1のトップダウンモジュールでこのエラーが発生する: 62行目:「アーキテクチャ」の近くに構文エラーがあります。マイQ1 VHDLモジュールのコードは以下の通りです :

    0

    1答えて

    FPGAで実装するVHDLでエレベーターを作ろうとしています。 0〜12階にあり、行く方向と入っている方向に応じて、外/外のボタンがあります。私は最初に外側のボタンが動作しているかどうかをチェックしています。内部の実装は同じです。今はコンパイルされますが、シミュレーション波形がクラッシュします。 Library ieee; use ieee.std_logic_1164.all;