modelsim

    0

    1答えて

    Verilogを初めて使用しているので、私はこれがどういう意味か分かりません。私は簡単な間違いをしていると思うが、私はそれを解決することはできないし、Googleを通した解決策も見つけられていない。誰かが私のプロジェクトがうまくいくように私ができることを知っていますか? // Dataflow description of a 4-bit comparator module FourBc

    -1

    1答えて

    ここに、64ビット乗算器の完全なコードを示します。それは私が大胆にしたfull_multiplier 17行目(3つ星)でエラーを出しています。エラーはvsim-3053です。ポート「out2」の不正な出力またはinoutポート接続。あなたのトップレベルのモジュールで module full_multiplier(input [63:0] a, b, input [1:0] select, inp

    -1

    1答えて

    私は基本的な乗数を実装する私の大学のコースからこのVerilogコードを持っています。 //this is a portion of the code. reg [16:0] multiplicand_copy; input [7:0] multiplicand; multiplicand_copy = {9'd0, multiplicand}; // this line is my qu

    1

    1答えて

    mux21_generic.vhdlというマルチプレクサを作成します。これはNx1マルチプレクサです。私は2つのアーキテクチャを定義します。一方は動作、他方は構造です。 構造アーキテクチャは、次のように小型の2×1マルチプレクサを使用しています。 architecture structural of MUX21_GENERIC is component MUX21 is Port (

    0

    1答えて

    私のコードはコンパイルはしていますが、シミュレーションするとうまくいきません。 「エラー・ロード・デザイン」と表示されます。 私はこれらのモジュール間で入出力ポートが間違っていると思います。 しかし、私はそれらを見つけることができません.. 私のコードにどこにエラーがあるの助けてください。 modulo_60_binaryで module tb_modulo_60_binary; re

    0

    1答えて

    私は基本的な双安定コードを持っていますが、エラーなくコンパイルできますが、実行(f9)した後に波形を追加すると、 tは何かを...ここに は私のコードです: テストモジュール: module test(); reg a; reg ck; reg rst; wire out; bistable bis(.a(a),.ck(ck),.rst(rst),.out(out)); initia

    0

    2答えて

    私はModelSim用のTCLスクリプトを作成しています。信号の出力値を定数と比較して、回路が正しく動作していることを確認します。私は入力信号を制御する方法を知っていますが、出力をエコーする方法を理解することはできません。 理想的には、すべてをCSVファイルにパイプすることができますが、スクリプトに合格/不合格を戻すだけで十分です。 bashの PS上のようなものと同様に:(/組合/ OUTF私の

    0

    1答えて

    uvm_objectから拡張されたパラメータ化されたクラスを使用しようとしています。 class som_util #(int entry_w=2) extends uvm_object; `uvm_object_utils(som_util) "Some other static functions using the parameterized variables" endclass

    -2

    1答えて

    上のトップレベルのVHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity TopLevel is Port (reset : in std_logic; clock : in std_logic; coin

    0

    2答えて

    ファイルから読み込んで評価したすべての値をテキストファイルに出力するテストベンチを作成しようとしています。しかし、32行ではなく、出力ファイルで1行しか得られません。誰かが光を当てることはできますか? `timescale 100ns/1ps module multtest; reg clk,reset; reg signed [7:0] a, b; reg signed [15:0]