modelsim

    2

    1答えて

    終了キーワードが満たされるまでシミュレーションを継続できる、特別な開始キーワードと終了キーワードがVerilogにありますか?私はこれが合成可能ではないことを理解している。ただし、テスト目的でのみ使用したいと考えています。また、verilogの$ finishキーワードはModelimを終了します。

    0

    5答えて

    私はModelSimの上のDフリップフロップのためのVHDLコードを書いていると私はそれをシミュレートしようとすると、私はエラーを取得: Error: (vsim-3601) Iteration limit reached at time 400 ps. 私はわからないんだけどそれは意味しますが、私は多くのソースコードを見て、エラーがないことを確認しました。誰でも問題の原因を推測できますか?

    5

    1答えて

    私は初めてVCDを生成しようとしていますが、私はいくつかの問題を抱えています。 私は、エンティティsim_minimipsを含むbench_minimips.vhdlというテストベンチを持っています。 私はそれをシミュレートし、そこからVCDを取得します。 vsim work.sim_minimips vcd file myvcd1.vcd vcd add -file bench_minimi

    12

    2答えて

    私はModelSimでいくつかのVHDLを実行しています。 (vcom-1292) Slice range direction "downto" specified in slice with prefix of unknown direction.これは単なるメッセージ例であり、意味を理解しています メンターには、すべての可能性のあるエラーコードのリストがあり、

    10

    1答えて

    ModelSimビューのネットのリーフ名をGUIモードで切り替える方法を探しています。言い換えれば、「トグル葉名< - >フルネーム」を押すのと同等ボタン: 私は短い名前を追加する方法を認識しています。例えば、私はこのようなコードを使用してきた: add wave -group "stimulus" -label "valid" ${sim_module}/aso_src0_valid add

    6

    2答えて

    私はほぼ正確に、FPGAプロジェクトの自動回帰テストを設定してい: Continuous integration of complex reconfigurable systems 今I Jenkinsテストレポートに表示されるテスト結果(ModelSimシミュレーションのVHDL REPORTステートメントから)を取得したい私の理解は、JenkinsがネイティブにjUnitフォーマットしかサポー

    4

    1答えて

    ファイル - >最近使ったファプロジェクトを実行してModelsimプロジェクトファイルを開くことができます。しかし私はプロジェクトを開くための他の方法を知らない。 File-> Openを使うと、プロジェクトではなく個々のファイルを開くだけです。どのようにプロジェクトを開くことができますか?

    3

    2答えて

    私は現在、ModelSim 10.1をISE 13.4とともに使用しており、非常に単純なテストベンチを実行しています。すべてのコードはVHDLです。 先日、VHDLのassertステートメントを使用して問題が発生しました。エラーと警告がトランスクリプトに出力されます。ただし、メッセージビューアにメッセージはなく、波形ウィンドウ内にメッセージインジケータはありません。 ISE内からシミュレーションを