2017-04-15 5 views
0

uvm_objectから拡張されたパラメータ化されたクラスを使用しようとしています。UVMでパラメータ化されたクラス宣言エラー

class som_util #(int entry_w=2) extends uvm_object; 
`uvm_object_utils(som_util) 
"Some other static functions using the parameterized variables" 
endclass 

このユーティリティ機能を使用してIUSでテストを実行すると、コンパイルされ、全体的なテストも実行されます。しかしVCSでは、識別子 "entry_w"が定義されていないと不平を言いますか?

考えられる理由は何ですか? UVMで

答えて

1

パラメータ化されたクラスは以下のようにuvm_object_param_utilsマクロを使用して登録する必要があります。

`uvm_object_param_utils(som_util#(entry_w)) 

は、より多くの背景については、このトピックにmy discussionを参照してください。

関連する問題