altera

    0

    1答えて

    ソフトウェアでエミュレートしたいだけのコンパイル済み(バイナリ)ビットストリームファイルがあります。 Linux、Mac、Windows ..何かが行きます。おそらく長い時間がかかることはわかっていますが、どれくらい時間がかかりますか本当に気にしません。とにかく、私は実際のFPGAにコードをロードして実行したくありません。 この目標を達成するために使用できるソフトウェアはありますか?

    4

    1答えて

    現在、Cocotbベースの検証環境をセットアップ中です。 シミュレータにFLI(外国語インターフェイス)がないため、VHDLを使用している場合、Cocotbで提供されているサンプルが私の場合は機能しないことが判明しました。 エラー(抑制可能)::(VSIM-FLI-3155)FLIはModelSimでのこのバージョンでは有効になっていない は、私は、次のメッセージが表示されます。 (私にはMode

    0

    1答えて

    Eclipse DS-5を使用してWindows 7でアルテラSoC(FPGA + ARM)のプロジェクトをクロスコンパイルしています。ツールチェーンは、アルテラのツールによって供給され、以下のように見えている: GCC C++ Compiler 4 [arm-linux-gnueabihf] GCC C Compiler 4 [arm-linux-gnueabihf] GCC Assembl

    1

    1答えて

    私のFPGAボードが5CSEMA5F31C6N DE1-SOCの場合、1つのプロジェクトでいくつのLPM_DIV(アルテラのデバイダ)を生成できますか? 私は同じ時間(同じクロック)で働いている多くの仕切りを使ってデータを処理しなければならないプロジェクトを行うつもりです。総量は4から4096までの範囲で変わります。これがやや実現可能かどうかFPGAで PD:この大量の分周器を生成するのは実際には

    0

    1答えて

    私は非常に単純なプロジェクトを持っています。ただ1つのファイルしか含んでいません。デバイスをプログラムするにはファイルが必要ですが、プログラマーで 'JAM、JBC、SVFまたはISCファイルを作成...'オプションがグレー表示されているため、選択できません。 これは私が使用しているソフトウェアのバージョンのためですか? OS:Ubuntuの16 のQuartusのバージョン:16

    -1

    1答えて

    私はFPGAの単純な機能を持っていますが(加算器のようなものですが)、この加算器で入力を得るにはから(Quartus II) から2つの12ビット私は十分なスイッチがありません。 どのようにPCからの入力を取得し、FPGAに送信し、どのようにそれらをFPGAに入れますか? (私はちょうどUSBブラスタケーブルを持っています)

    -1

    1答えて

    Cyclone V on a SoCKit board (link here)(テラシック社提供)を使用しています。通信中にイーサネットを使用して通信できるシステムを作成するために、HSMC-NETドーター・カード(ここへのリンク)問題は、アルテラのトリプル・スピード・イーサネット・コアを使用してこのシステムを動作させることが本当に難しいことです。 Qsysを使用して、トリプルスピードイーサネット

    0

    1答えて

    私は現在、コンピュータの構造クラスを勉強しています。私たちの先生は、キーボード入力を読み取るためにUSBインターフェイスを使用することは不可能だと主張しています。私はオンラインで検索しました。 PS/2インターフェイスを使用したチュートリアルがたくさんありますが、USBインターフェイスについての情報はありません。理由はありません。おそらく正しい場所を探していないか、適切な質問をしていないかもしれま

    2

    1答えて

    現在、quartusプライム(16.1)およびNIOS II eclipseツールチェーンを使用しています。私は、単純なC++のHello Worldアプリケーションをコンパイルしようとしたが、私はこのようなエラーが出る: 今 私の質問「609088バイトであふれたonchip_memory2_nios2' 」です:この動作は正常ですNIOS IIターゲット?このターゲットの文字列(また、すべての

    -1

    1答えて

    こんにちは、私はまだシステムのVerilogで書いて新しいです。今日私はこれらのエラーに遭遇しました。私はこれらの手段が何を意味するのか知っていますが、間違いがどこにあるのか分かりませんでした。ここの誰かが私がどこにいるのかを指摘するのを助けることができればうれしいでしょう。 module control ( /* Input and output port declarations *