altera

    4

    1答えて

    これはVHDLを初めて使用する人の間では最も一般的な問題ですが、ここで間違っている!これは、適切なステートマシン設計で見たすべてのイディオムに適合しているようです。私はAltera Quartus 9.2で、それが価値あるものとしてコンパイルしています。実際のエラーは、次のとおりです。 「それはクロックエッジ外でその値を保持していないため、[ファイル]、[行]で 『 spiclk_out ENTI

    8

    3答えて

    VHDLで、Cソースコード - マクロ__DATE__および__TIME__ と同様のものを使用して、FPGAのバージョンタイムスタンプの種類として利用できますか? >>>新しい投稿者< < < to VHDL次の既存コードを変更して、ハードコードされた日付をFPGAレジスタに追加します。私はいつもコンパイルする前に値を調整することを覚えていなければなりません。これが自動的に行われるのは簡単でしょ

    0

    2答えて

    私の上司は、アルテラのボード上でPCIエクスプレスをテストするためのコードを提供しています。コードは、Biosの読み込み、いくつかのレジスタの設定、バッファへの書き込みなどの命令を持ついくつかのCコードファイルで構成されています。 現在、私の仕事は実行しているコードの機能を見ることです。 私はFPGAを初めて使用しており、FPGA用にコンパイルするためにどのツール、コンパイラなどを使用するのか理解

    2

    3答えて

    MIPSアーキテクチャ用のALUを開発しています。ALUが任意のビット数だけシフトできるように、左シフトと右シフトを試行しています。 私が持っていたアイデアは、シフト値を整数に変換し、結果になるエントリを選択することです(整数はXに格納されます)。しかし、Quartusは可変値を受け入れません定数。 これを行うにはどうすればよいですか? (ケースは "WHEN" 1000 "=> ..."と "W

    2

    2答えて

    まあ、私はアルテラのFPGAとUSBのブラスターを持っています。私はquartusをダウンロードしましたが、FPGAを検出しません、私はurjtagで試してみました。私はsudoで実行しようとしましたが、もう一度同じです。助けてください

    0

    1答えて

    こんにちは、既存のFPGAデザインにNIOSllプロセッサを統合しようとしています。私はVHDLで設計された信号監視ユニットを持っており、計算した結果を表示するために、作成したデザインをNIOSllプロセッサに接続する必要があります。私は個別に作業を行う方法を見つけましたが、私は両方の要素を単一のFPGAに入れたいと思っています。 可能ですか? はいの場合は、どうか教えてください。私はALTERA