soc

    0

    1答えて

    ノルディックSDK(Red Bear Lab BLE nano)で動作するArduinoデバイスがあります。自分のコードで何が起こっているのかをデバッグできるように、GTKTermにシリアルプリントをしたいと思っています。これを行うには、私は次のコードを持っています: /* * Copyright (c) 2014 Nordic Semiconductor. All Rights Reserve

    0

    1答えて

    伝統的なAWSコンポーネント、EC2などはSOC 2認定を取得しており、組織はアプリケーション全体でSOC 2を実行するためにカーブアウト方法でこれを活用しています。 API GatewayまたはCloudFrontが認定またはスケジュールされていないため、これらの機能を使用することで認定資格を取得することは可能ですか?

    1

    1答えて

    私はRISC-Vを使用しています。タイル内のコアの数をカスタマイズしたいと思います。 私はどのチゼルファイルを変更する必要がありますか?

    0

    1答えて

    「トップレベルHDLラッパー」とは何か、なぜSoCプロジェクトでそれを行う必要があるのですか?

    0

    3答えて

    私は現在、DE0-Nano-SoCコンピュータシステム でARM Cortex-A9ユーザーガイドを読んでいますが、その中にacコードがあり、 "*(MPcore_private_timer_ptr + 3)"の "+ 3" ? while (1) { *HPS_GPIO1_ptr = HPS_LEDG; // turn on/off LEDG while (*(MPcore_private

    0

    1答えて

    Linux(newbieレベル)を実行しているSoC用の簡単なGPIOドライバを開発中です IRQ番号がデータシートの番号と異なるため、私は問題に直面しています。 効果的なIRQマネージャがIRQドメインを作成する可能性があることを知りました。 しかし、 unsigned int irq_find_mapping(struct irq_domain * domain、irq_hw_number_t

    0

    1答えて

    Eclipse DS-5を使用してWindows 7でアルテラSoC(FPGA + ARM)のプロジェクトをクロスコンパイルしています。ツールチェーンは、アルテラのツールによって供給され、以下のように見えている: GCC C++ Compiler 4 [arm-linux-gnueabihf] GCC C Compiler 4 [arm-linux-gnueabihf] GCC Assembl

    0

    1答えて

    私はavalonメモリマップされたインターフェイスを介してcount_x 32ビットのデータをHPSに取得する必要があります。 1番目と2番目のケースは正常に機能しました。そして私はHPSにデータを持っています。しかし、3番目のケースではWHEN '2' => avs_s0_readdata < = count_x(31 downto 0);として「2」が宣言されていませんそれはどういう意味ですか

    0

    1答えて

    私はアルテラDE0 nano SoC FPGAを使用しています。私は、HPSからFPGAに浮動小数点数を送る方法を知りたい。 float ex_hps = 6000.9282;をAvalonメモリマッピングインターフェイス経由で送信させます。 Avalon_write_data addressに32ビットのデータ長(Qsysから設定可能)がある場合、FPGA側でこの数値は32ビットのstd_log

    2

    1答えて

    の場合に与えられたプロセスのために、私は変数を宣言した場合は(variable temp : std_logic;、のは、1ビットの変数を言わせて)、私は変数に値を割り当てることができます与えられた条件が真を返す場合、すなわち if (xyz=1) then --Assuming that this condition returns TRUE temp:= '1'; ??このロジックはAS