xilinx-ise

    0

    1答えて

    VHDLにstd_logic_vectorsの配列を作成しようとしています。この配列は、バレルシフタを作成するためにgenerateステートメントで使用されます。配列の各要素(配列、ベクトル)は個別にアドレス可能なビットでなければなりません。ここに私のコードのいくつかがあります。 信号宣言:アーキテクチャで type stage_t is array(4 downto 0) of std_logi

    0

    1答えて

    私は実際に私のFPGAで実装したMicroBlazeマイクロコントローラシステムを勉強しています。しかし、私はこのMCUがどのように働いているのか理解したい。のは、このブロック図を考えてみましょう: MicroBlaze MCS block diagram 私たちは、プロセッサがBRAMモジュールに32ビットの2つのバスかかわらず、接続されていることがわかります。これらのバスの1つはILMB(命令

    1

    1答えて

    こんにちは私のVerilogコードの入力の可能性のあるすべてのケースをテストしようとしています。私はループのためにそれを設定しました。 for(sel = 0;sel < 4;sel=sel+1) begin for(a = 0;a < 8;a=a+1) begin for(b = 0;b < 8;b=b+1) begin #50;

    0

    1答えて

    メタスタビリティ用のDフリップフロップをシミュレートしようとしています。ザイリンクスISEとVivadoで理想的なクロックを生成すると、私はメタステーブル現象を見ることができません。メタスタビリティが発生するためには、立ち上がり時間と立ち下がり時間を持つクロックを指定する必要があります。ザイリンクスでこれを行う方法が見つかりませんでした。これに関する提案は大歓迎です。

    0

    2答えて

    varは、サイズmの符号付きベクトル(ライブラリIEEE.NUMERIC_STD.ALL)の略です。 fooは、std_logic_vector(n-1 downto 0)の別の変数であるとします(nはmより小さい)。 fooの左に「0」を連結し、そのサイズがmになるまで右端にゼロを埋め込み、結果をvarに保存します。 は私が rdsor <= signed('0' & divisor & oth

    0

    1答えて

    私はFPGAボードspartan 3Eを構成するためにvhdlを使用しているプロジェクトに取り組んでいます。私がしなければならないのは天才のパズルです、私のメインコードにはロジックを制御するステートマシンがあります。 xilinxシミュレータを使用してコードをシミュレートするとすべてうまく動作しますが、FPGAボードに.bitファイルを実行すると、シーケンスの最初のLEDがオンになり、次にオフにな

    0

    1答えて

    FPGAのコンパイルに問題があります。 Windows 7オペレーティングシステム のLabVIEW 2015 SP1(32ビット)、 のLabVIEW 2015のSP1 FPGAモジュール、:私は、私がインストールされている9602 シングルボードRIOを使用しています LabVIEW 2015 sp1リアルタイムモジュール のNI CompactRIOを16.0- NI CompactRIOの

    1

    1答えて

    のエラー不正再宣言を与えます。 parameter N=4; reg [N-1:0] number_c[2**N-1:0]; reg [N-1:0] result_c; コンパイルした後、私は次のエラー ERROR:HDLCompilers:27 - "Combinational_output.v" line 24 Illegal redeclaration of 'number_c' E

    0

    1答えて

    2つの半加算器とORゲートを使用する単純な全加算器を作成しました。 VHDLコードは library ieee; use ieee.std_logic_1164.all; entity ha is port(x: in std_logic; y: in std_logic; s: out std_logic; c: out std_logic);

    0

    1答えて

    私は '/'演算子を使ってVerilogで除算を実行しています。私はシミュレーションの結果を正しく得ることができましたが、私のコードは '/'演算子のため合成できませんでした。エラー「2番目のオペランド/ 2のべき乗である」を表示していました。 Verilog HDLでの除算はどのように行うべきですか?