fpga

    0

    1答えて

    私はここで、同じ方法で失敗した古いカーネルで動作するLinux 4.4を、PCIe接続されたFPGAデバイスとそのドライバを使用しています。これらは正常な状態ではうまくいきましたが、今はホットプラグ状態で動作させようとしています。これは実際のハードウェアホットプラグではなく、デバイスのsysfsディレクトリには通常echo 1 >remove、それ以降はecho 1 >/sys/bus/pci/r

    0

    3答えて

    私はFPGAにメモリマップされたIO要素を持っています(正確に言えばAXIメモリマッピングされたインターフェイスを基本的にピンのセットにマッピングするAXI GPIO要素)。これはARMプロセッサのアドレス空間に接続されてマップされていますGP0マスタポートによって実行されます。 FPGAとARMの両方のプロセッサは、ZYNQ7000ファミリデバイスの一部です。私が要素のアドレスに書き込むとき、ど

    1

    1答えて

    私はラティスのダイヤモンドソフトウェアで書かれた複数のVHDLファイル(すべてエラーなしでコンパイル)を持つFPGAプロジェクトを持っています。問題は、私が見るすべてのピンを1つのVHDLファイルの入力と出力に割り当てることです。そのファイルを削除すると、別のものが表示されます。ネットリストアナラ​​イザは同じ動作をします。 同じプロジェクト内に複数のVHDLファイルを作成することは可能ですか、す

    1

    1答えて

    私はVerilogの初心者です。プロジェクトの作業を開始したばかりです。開始したコードが実行可能であることを確認しようとしています。以下のコードスニペットは、FIFOを8ビットレジスタのベクトルにアンロードしています。各クロックサイクルで、FIFOからバイトをアンロードし、それをレジスタチェーンの最後に配置し、他のすべてのバイトをチェーンの下にシフトします。 reg [ 7:0] mac_rx

    0

    1答えて

    イメージをキャプチャするCMOSカメラがあり、キャプチャしたイメージをVGAモニタに表示したい。私は、RRRGGGBBBと同様に、RGB 565形式でCMOSカメラからデータを受信して​​います。私は、VGAコネクタRGB(3)pins.howとのFPGAボードを持っています私たちは単一のビットRGBにRGB 565を変換するのですか?

    0

    1答えて

    現在、8ビットの符号なしレジスタと32ビットの符号付き整数を含む新しいデータ構造オブジェクトを定義するために、SystemVerilogでTypeDefを使用しようとしていますが、エラーを取得しておいてください。 エラー(10053):UART.vでのVerilog HDLのエラー(35):できませんインデックスオブジェクト「データ」ゼロパックまたはアンパック配列の次元で 私が再作成されています下

    0

    1答えて

    私は計数モジュールを実現しようとしています。私の基本的なセットアップ:信号発生器に接続されたIOポートに2本のBNCケーブルが接続され、読み出し用にUSB/UART経由でPCに接続されたFPGA(Digilent's Arty with Xilinx Artix-35T)。 私の信号発生器は、例えば、1HzでTTL信号を生成します。 ここで、チャネル1、チャネル2、チャネル1と2のイベントの数をカ

    0

    1答えて

    例:私はsimulinkモデルから特定の信号を選択してログに記録し、ロジックアナライザで開く必要があります。 3つはすべてMATLABのコマンドラインから実行する必要があります。誰かが私を助けることができますか?

    1

    2答えて

    私はVHDLプログラムで作業しており、ALTERA DE2-115を使用してRAM 256を実行する必要があります。出力は7セグメント表示で表示されます。 問題は次のとおりです。dataout出力変数があります。その後、変数はtemp_ram、配列の次の値があります。 dataout <= temp_ram(conv_integer(dir)); その後、私は入れてdataoutのvaules

    0

    1答えて

    PYNQ-Z1に接続しようとしています。私は各ステップを正確に文書に記載されているように続けましたが、ブラウザに192.168.2.99:9090でアクセスしようとすると、ページが機能していないことがわかります。 私は直接接続を使用してボードを接続しました。 私はmacを使っているので、ddコマンドを使ってSDカードに画像を書きました。 DONEランプが点灯しています。ネットワーキングの派手な青色