fpga

    0

    1答えて

    私は依然として感度リストを理解するのに苦労しており、プロセスを起動しています。 ほとんどの教科書は、機密性リスト内の信号にイベントが発生するたびにプロセスがアクティブになると言います。 process(in) begin x <= in; end process; この例を見ると、 "in"はエンティティで宣言された入力です。ここで、 "in"が0で始まり1に変わると、プロセスは

    -1

    1答えて

    私はシンプルなカウンタを設計しました。 以下のコードです。 input sig; reg [3:0] cnt; always @(sig) begin if(sig) cnt = cnt + 1; end Modelsimによるシミュレーションは正しく機能します。私は、シグネチャが高い場合、カウンタが連続的にカウントされると、FPGA上でコードを実装しました(ise

    0

    1答えて

    だから私は、信号がアサートされていることに問題があるようです。だから基本的に私は2つのfpgaの間でi2cインターフェイスを実装しています。私のマスターは50バイト以上を送るでしょう。私のスレーブ側では、配列に入ってくるバイトを格納したい。だから、バイト全体が読み込まれて利用可能になったときにチェックして、それを配列に入れます。問題は、配列全体を塗りつぶした後、プロセスをアクティブにするシグナルを

    0

    3答えて

    今私はfpgasを使ってi2c経由でマスターからスレーブにバイトを送信するプロジェクトに取り組んでいます。今、私の奴隷に私は入ってくるデータを格納したいと私は0から71までの異なる指標をそれぞれ次のような3-5アレイの間に持っていることを計画: type array1 is array(0 to 49) of std_logic_vector(7 downto 0); type array2

    1

    1答えて

    入力信号としてプッシュボタンを使用するFPGAをプログラミングしています。これには、特定のボタン・プレスを使用して状態から状態に移行する11の状態を持つ有限状態マシンがあります。 たとえば、私の設計では、状態s0はボタンプレスを使用して状態s1になります。これは、状態s1からs2へ、および状態s2からs3への同じ移行ケースです。この状態遷移システムは、VHDLコードでcase文を使用して実装されて

    0

    1答えて

    私は、プロセスが中断したときに、割込みが立下りエッジで発生するような、クロック信号のプロセスで信号または出力を割り当てると、シミュレーション中にシグナルまたは出力が立ち上がりエッジで割り当てられるのはなぜですか時計の? if(rising_edge(clk)) then if(one_second_counter = "10111110101111000001111111")

    0

    1答えて

    7セグメント表示で、0.0〜99.5のステップ0.5の数字を表示します。 私は3つの7セグメント表示が利用可能で、それらはMUXで接続されているので、私はセグメントのための7つのピンと数字を選択するための 3ピンを持っています。 添付のVerilogコードで特定の数字の表示をしましたが、問題は特定の桁の選択ピンを設定するループを にする方法がわからないことです。 誰かがこれを簡単に達成するのを手伝

    -2

    1答えて

    からhttp://www.johnloomis.org/digitallab/lcdlab/lcdlab3/lcdlab3.qdoc.html どのようにDATA_BUSを変更して、inoutを使用しないようにすることができますか? // BIDIRECTIONAL TRI STATE LCD DATA BUS assign DATA_BUS = (LCD_RW_INT? 8'bZZZZZZZ

    -2

    1答えて

    Verilogでは、メインモジュールがあり、入力番号からデータを受け取り、ワイヤBCD16に設定する必要があります。 サブモジュールには出力番号があります(番号は 'h358')。ただし値はワイヤBCD16には入りません。 コンパイラはエラーを表示しません。 コードは、次のとおりです。 module LED_7seg( input clk, number, .... ); ....

    1

    1答えて

    ChiselのRegとMemの使用法の違いを知りたいのですが、共通シナリオでどちらを選択するかはどのように決定できますか。私はMemは、大量のデータを保存するときに、FPGaスライス内のフリップフロップを使用するのではなく、SRAMにデータを保存することを想定していると思いますか? 大きなレジスタファイル(通常の10倍のサイズ)を実装したい場合は、MemとRegのisteadを使用するのが最善でし