chisel

    1

    1答えて

    私は、Sodorプロセッサ/ RocketコアのALUソースファイルをカスタマイズして別のプロジェクトで使用しようとしています。だから、aluソースファイルに追加されたパラメータを使うことを望む、configurations.scalaファイルを含む共通のフォルダをコピーしました。しかし、私はsbtを "テスト専用..."を実行するとき、私は今までの解決策を見つけることができなかった次のエラーを取

    1

    1答えて

    chisel3を使用して、別のプロジェクトでSodorプロセッサ/ RocketコアのALUソースファイルをカスタマイズして使用しようとしています。 sbtテストを実行すると、次のエラーが表示されます。テストとデバッグのノミ3 wikiにガイドに続き [info] - should carry out proper arithmatic and logical operations (with v

    0

    1答えて

    私はchisel3を学習しています。 結果を確認するためにコードを実行します。 ここに私のコードです。 私は "sbt run"コマンドでこれらのエラーを表示します。 チゼルでは幅を定義するのに「.W」を使用すると思われますが、ユーザーガイドでは正しいことが明らかです。 私のbuild.sbtはこのように作成されます。 scalaVersion:= "2.11.8" レゾルバ++ = SEQ(Re

    1

    1答えて

    私は今チゼルを学んでいるので、私はたくさんの質問を受けます。 私はimport chsel3._がチゼルのライブラリファイルをコードに追加できることを知っています。 そして私はimport chisel3._を持っているだけでなく、私はimport chisel3.util._か何かimport chisel3_を除外を追加する必要がないときimport chisel3.util._ 私の質問があ

    10

    1答えて

    私は、テーブルの各行に状態(レジスタ)が含まれているテーブルを持っています。ある特定の行を選択するロジックがあります。 1つの行だけが「選択された」信号を受信する。その選択された行からの状態が次にアクセスされる。状態の一部が出力としてモジュールのIOに接続されるか、またはIOの一部が入力として使用されて状態を更新する。 これを回路で実装していたら、パスゲートを使用します。選択された信号は、行のレジ

    3

    1答えて

    私はAC701 kit(artix7)にシンプルなチゼル3点滅のデザインを書いてみたいと思います。しかし、それを行うには、クロック入力差動バッファをインスタンス化する必要があります。 IBUFDS #( .DIFF_TERM("TRUE"), .IOSTANDARD("DEFAULT") ) IBUFDS1_inst ( .O(clock1), // Clock buf

    1

    2答えて

    私は、差動クロック入力(ザイリンクスAC701キット)を使用している点滅するデザインを持っています。 class Top extends Module { val io = IO(new Bundle{ val clock_p = Input(Clock()) val clock_n = Input(Clock()) val led = Output(Boo

    0

    1答えて

    Chisel 2でShiftRegisterを使用して遅延を実装しています。しかし、ゼロに強制する(ランダムではありません)など、選択した値に初期化を強制する方法は明確ではありません。これを行う方法?

    1

    1答えて

    私たちは複雑な設計をしており、信号の初期化を忘れることがあります。チゼルはランダムな値を割り当てます。しかし、これにより、エラーが検出されたポイントから元に戻すことが困難になります。初期化されていないシグナルについての警告がありますが、伝播しないために意図的に初期化されていない信号がたくさんあるという理由では役に立ちません。 「有効な」信号などのごくわずかな場合にのみ、初期化されていない信号が伝搬

    2

    1答えて

    チゼルで次のコードが詳しく説明されない理由について、誰かに教えてもらえますか?私はUIntの個々のビットに割り当てることができないようです。これは設計によるものですか? 私は、同様の質問に対するJackの反応を見ましたが、ストランドのビット間で次のタイプのロジックが一般的であり、SVなどで簡単にパラメータ化されました.Boolsのベクトルと個々のビット、それでも中 def ffo(pwidth:I