verilog

    1

    2答えて

    VerilogでFatICAアルゴリズムを実装しようとしています。私はコード全体を書いていますが、エラーは表示されませんが、コードを合成しようとすると、 ""の代わりに ""のようにエラーが発生します。 私は4つの浮動小数点モジュールを使用しています今、私はドット演算子 を使用して個々のインスタンスにアクセスしていますが genvar s; generate for(s=1;s<=4

    0

    1答えて

    私は、initialまたはalwaysを使用しないVerilogモジュールを$ displayステートメントを使ってデバッグしようとしています。しかし、これらは初期ブロックまたは常にブロックの外側では違法であるように見えます。何故ですか?私の選択肢は何ですか?

    17

    4答えて

    RAM書き込みにはどのコードが優れていますか? alwaysブロック内data_outを割り当てる: module memory( output [7:0] data_out, input [7:0] address, input [7:0] data_in, input write_enable, input clk ); reg [7

    1

    1答えて

    デジタルフィルタ設計を支援するために私は最初のVPIプロジェクトである を使用しています。現時点では、私はイカルスと協力していましたが、 Verilatorと他のシミュレータをいくつかの点でテストしたいと思います。 ここまではmakefileですが、これはCコードと シンプルなテストベンチをビルドするためのものです。私はmakefileを組み込み、 異なるVerilogプロジェクトをビルド/シミュ

    4

    5答えて

    私はverilogモジュールにalwaysブロックを書く方法について簡単な質問があります。 私はVerilogモジュールで、以下の入力がある場合: input [31:0] PCplus4 ; // Value of PC + 4 input [31:0] A; // Value A, i.e. RSbus (Use Forwarded Value) input [31:0] B;

    7

    1答えて

    ダグラス・スミスの "HDL Chip Design"は、2001年7月9日の印刷です。 本書では、同期通信にブロッキング割り当てを使用するとシステマティックにエラーが発生し、非決定的なコードになります。この場合、非ブロッキング割り当てを使用する必要があります。 これはこれまでの印刷で修正されていますか?

    2

    1答えて

    私はいくつかのv2k完全文法をgoogleで見つけることができますが、私は心が失われているか、ポート宣言に関して同じように壊れています。 例入力: module foo ( input x, output [2:0] y); endmodule; 私はその構文を解析する文法を見つけることができませんが、彼らはlist_of_portで「ポート」としてこの のようなものを受

    0

    2答えて

    IEEE 802.11b PHYのヘッダーフィールドのCRC計算を理解したいと思います。私は文献を読むと、CRC-16が使用され、1の補数は(生成器多項式による信号、サービス、および長さ) ビットストリームを与えられた場合、どのようにこの計算終わらせる。 シフトレジスタやMatlabを使用してハードウェアに実装する方法を理解しようとしています。 この方向への示唆または有用な指針が役立ちます。 おか

    2

    2答えて

    モジュールをインスタンス化して出力の一部のビットのみを気にするとき、ビットを捨てるための簡潔な構文がありますか?この場合 my_module module_instance ( .some_output({garbage1,important1[7:0]}) ); のようなものは、my_moduleの信号some_outputは9ビット幅ですが、私は唯一のimportant1に下位8ビッ

    2

    5答えて

    私は最終学年(電気・コンピュータ工学)の次の学期に入り、組み込みシステムやハードウェア設計の卒業プロジェクトを探しています。私の教授は、現在のシステムを探して、ハードウェア/ソフトウェアのコードを使って改善しようと勧めました。私は、VHDLまたはVerilogによる専用ハードウェアを使ってシステムを実行できるようにする「自動ライセンスプレート認識システム」の例を教えてくれましたより良い。 私はちょ