2017-05-14 4 views
-2

NIOS IIソフトプロセッサーを搭載したFPGAベースのモーターコントロールを実装するつもりですが、TUVおよびIEC 61508証明書の要件を満たすシステムが必要です。 冗長システムを使用するための1つのソリューションを読んだことがありますが、どうすればそのことができるのか理解できませんでしたか? 、冗長システムは物理ハードウェアをFPGAに追加する必要がありますか?またはNIOS IIのようなソフトプロセッサを追加するような他のソフトソリューションがありますか?FPGAモーターコントロール

答えて

0

61508はプロセスを定義し、プロセスに従います。

  1. なぜFPGAを使用していますか?
  2. なぜプロセッサーを使用しないのですか?
  3. ソフトプロセッサは、あなたがと幸せ ている言語以外の何をもたらすん - 1と2
  4. あなたの設計上の決定は、あなたが目指しているものSILのレベルに非常に大きく依存疑問に戻ってももたらします。

あなたは特定の質問をする方が良いかもしれません。質問は非常に一般的で曖昧です。

+0

hello trig 安全モーター制御を行うためにどの手順を実行する必要があるかを知る必要があります。 FPGAは私のアプリケーションに適しており、FPGAは私の柔軟性とスケーラビリティを提供し、今後の開発に役立ちます。 –

+0

安全モーター制御を行うために従わなければならないステップは、IEC61508文書に詳述されています。 IEC61508の文書は[こちら](https://webstore.iec.ch/publication/22273)で購入できます。 – Trig

関連する問題