2017-05-04 3 views
1

私はVerilogを使ってクラスプロジェクトを進めてきました。私は回路を作り、その回路が使う電力を計算しなければなりませんでした。私はXpower Analyzerを使ってそれをやろうとしていますが、vcdファイルの作成、ザイリンクスISE 14.7を使用したコードのコンパイルと合成の手順に従います。結果が表示されるまで、すべてうまくいく。私は時計から0の電力消費を受けました。私は時計を制約しようとし、それは0から0.009のダイナミックパワーの増分だけを与えますが、クロックには不運です。また、パソコンや大学のコンピューターラボでXpowerを試していますので、ソフトウェアバグではないと思います。 また、私はシンプルなアル、レジスタなどのような異なるデザインを試しています。それでも、私はまだ同じパワーの結果を得ています。xpowerを使って電力を見積もる方法

詳細情報:

  • テストベンチがうまく動作し、私は
  • は、私は次のようにクロックを宣言欲しいものを行います。モジュールtoptrafficlight( クロック、RST、出力)。
  • リスト項目:合成後= 0私は20nsのにクロックを制約している
  • タイミング位相(これが何を意味するのかわからない)
  • Warrningsから:
  • HDLCompiler:413 - ライン86:5の結果4ビットのターゲットに収まるように切り捨てられます。
  • PhysDesignRules:372 - ゲートクロック。クロックネットmain_gated_clkはコンビナトリアルピンによって供給されます。これは良いデザインの習慣ではありません。 CEピンを使用して、フリップフロップへのデータのロードを制御します。

Power result from Xpower Analyzer

私の質問がありますか?

  1. 時計を設定する方法ですか?私は問題の原因かもしれないと思います。
  2. VCDファイルを取得してコードを合成するのに必要なことがありますか?
  3. 他のアイデア、例、チュートリアルはありますか?

答えて

0

このスクリーンショットはデザインが非常に小さいことを示しているため、クロックパワーが1mWより小さくなることは大きな驚きではありません。ザイリンクスでは、電力の見積もり用のExcelシートも提供しています。クイックトライアルに使用して、どのような状況でクロックパワーを重要視するかを確認できます。

Xilinx Power Estimator (XPE)

+0

ありがとうございました。 –

関連する問題