2017-04-20 1 views
0

Verilogを使用してロジックアナライザで取り込んだデータをインポートしたいと思います。Verilogでデータをインポート

準備したモジュールをテストできることをテストベンチにインポートするにはどうすればいいですか?

もう1つの質問は、ロジックアナライザ(バイナリ、CsvまたはVdcタイプ)からエクスポートする必要がある場合です。

ありがとうございました!

+0

Verilogはファイルを操作できます。したがって、ロジック・アナライザでVerilogを使用する場合は、必要なデータをファイルに書き込んでから、testbenchでファイルを読み取ることができます。 – Roman

+0

私はVivadoのシミュレータのロジックアナライザでキャプチャした信号を表示したいと思います。 – surfer113

+0

キャプチャしたデータをどのように刺激として使用するかを説明する必要があります。各ピンのタイミングはクリティカルであるのか、サンプリングされたデータだけがクロックエッジであるのでしょうか?そして、データはあなたのモジュールへの完全な刺激(時計を含む)を表しているのですか、あるいはあなたのDUTへのインターフェイスは1つだけですか? –

答えて

1

Vivadoには、ChipScopeダンプをzip形式で保存する機能が内蔵されています。

このzipファイルを手動で抽出すると、すべての信号値を含むCSVファイルが作成されます。

ファイル管理システムコールを使用して、使用状況に合わせて修正してください。

write_hw_ila_data my_hw_ila_data_file.zip [upload_hw_ila_data hw_ila_1] 

私は、このコマンドによって生成されたそのジップについて話しています。

  1. が必要な場合 waveform.csv
  2. のためのzipファイルを参照write_hw_ila_data my_hw_ila_data_file.zip [upload_hw_ila_data hw_ila_1]
  3. エキスを見ると、いずれの変更を行うのxlsxに変換し、このコマンドを使用してzipファイルを生成します
  4. もう一度csvに変換し、ファイル操作を使用してtestbenchで開きます。

注:シグナル名を削除し、すべてのベクターを抽出します。それに応じてテストベンチに割り当てます。

+0

ロジックアナライザでキャプチャしたインポート信号以外は保存したくないので、テストベンチとして使用してください! – surfer113

+0

インポートするには、まずそれを保存する必要があります。 CSVファイルを含んでいるそのジップの形で。 Verilogテストベンチで開くことができます! –

+0

ああ、もう少し具体的な手順を教えてもらえますか? – surfer113