2017-04-03 5 views
0

SystemVerilog 2012 LRMからケースステートメントを使用してプロパティを書き込もうとしています。 QuestaSim 10.4Bを使用してプロパティ内のcaseステートメントがQuestaSim 10.4Bで動作しない

property p_rate_select (logic [1:0] rate); 
    case (rate) 
      2'd0 : $rose(i_ffs_rdcount == 1) |=> $fell(o_telem_fifo_ready_n); 
      2'd1 : $rose(i_ffs_rdcount == 2) |=> $fell(o_telem_fifo_ready_n); 
      2'd2 : $rose(i_ffs_rdcount == 3) |=> $fell(o_telem_fifo_ready_n); 
      2'd3 : $rose(i_ffs_rdcount == 4) |=> $fell(o_telem_fifo_ready_n); 
     default : 0; 
    endcase 
endproperty 

、私は次のエラーを取得する:

** Error: (vlog-13069) checker.sv(196): near "case": syntax error, unexpected case, expecting disable.

はQuestasimのこのバージョンでサポートされていない場合ステートメントですか?

答えて

4

Questa 10.4e以降が必要です。

+1

ありがとうございました。代わりの解決策は 'else else'を使うことです。 – noobuntu

+2

はい、前の式に 'rate'を組み込みます。 –

関連する問題