library ieee;
use ieee.std_logic_1164.all;
entity data_choose is
port(
A :in std_logic_vector(3 downto 0);
B: out std_logic_vector(3 downto 0);
clk : in std_logic);
end entity data_choose;
arc
は、一方は7ビットを有する必要があるが、私は7つの変数を有するK-マップの描画を開始する方法を知らない Here's 5変数のk-mapを行うチュートリアル、SOP方程式は次の通りです。 F = a 'b' e + a 'b' c 'd + bcd' e + acde + b 'c' e ' c 'de 私はVHDLで使うことができると思いますが、いくつかの数字を入力し、数字が素数ならば1、そうで
Linux OSの電源管理機能(ACPIを使用)とやりとりするための簡単なコマンドラインユーティリティ(C言語)を作ることに興味があります。 私はCプログラミングのバックグラウンドを持っています。私はこのユーティリティを作成することができます少し汗と涙で願っています。 ただし、私はそれを始めるのが非常に難しいと思っています。私は、ACPI /その歴史に関するテクニカルドキュメント以外のサンプルコー