次のコードをVHDL NPNスイッチにコード化しましたが、何らかの理由で正しい構文でコンパイルできても出力がシミュレーションでは機能しません。NPNはVHDLでシミュレーションされません
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
entity npn is port (
p_In : in std_logic;
p_Gate: in std_logic;
p_Out : out std_logic
);
end;
architecture Simple of npn is
begin
process(p_In, p_Gate)
variable control: std_Logic;
begin
case p_Gate is
when '0' | 'L' =>
p_Out <= '0';
when '1' | 'H' =>
p_Out <= p_In;
when others =>
p_Out <= '0';
end case;
end process;
end;
[OK]を、問題は、シミュレーションに関係なく、私はP_INとp_Gateで使用入力の0遷移を示しています。何が問題なの? これは簡単なコードなので、どんな助力にも感謝します。おかげさまで
の
or
を使用する必要がありますあなたは正確にあなたが見ていることは、あなたは出力が間違っていると思わせるものを含めることができますか?おそらくシミュレーションのスクリーンショット?たぶん移行に失敗しているのでしょうか? –これはNPNトランジスタかMOSFETか? –
問題は、p_Inとp_Gateで使用している入力に関係なく、シミュレーションに0の遷移があることです。何が問題なの? – loumbut5