2013-10-14 24 views
5

私は2年生です。Mac OS X 10.6.8でVerilogプログラムをコンパイルしてシミュレートするにはどうすればよいですか?

シラバスの一部としてVerilogプログラムをシミュレートする必要があります。しかし悲しいことに、私の大学ではザイリンクスISEを使用していますが、Macでは利用できません。

最高のソフトウェアと、インストールおよび使用方法に関する詳細な手順を教えてください。

事前に感謝:):D

+4

仮想マシン? – prodigitalson

答えて

6

あなたは自由のVerilogシミュレータですIcarus Verilogを、試みることができます。

hereの指示に従って、Mac OS XにIcarus Verilogをインストールすることができます。

関連する問題