2016-12-06 8 views
0

私はSystem VerilogのFPGAで少しゲームを書いています。私はVGAディスプレイを通していくつかの小さな画像を見せたいと思います。私の画像サイズは35px x 20pxです。私は、Matlabを使用して画像を3つの別々の配列(R、G、B値)に変換しました。 System Verilogで同様の配列を作成し、後でそれらの要素を呼び出す方法がわかりません。私が白い点と赤い点を作った現在のコードは以下の通りです。私はこれらの点を上記の写真と置き換えたい。ありがとう!systemverilogで2次元配列を作成し、後でこの配列の要素を呼び出す方法は?

begin:RGB_Display     
     if (missile_On == 1'b1)   
     begin 
      Red = 8'h00; 
     Green = 8'hff; 
     Blue = 8'h3f; 
    end 
     else if (ourMissileOn == 1'b1) 
     begin 
      Red = 8'hff; 
     Green = 8'hff; 
     Blue = 8'h00; 
    end 
    else if ((ball_on == 1'b1)) 
    begin 
     Red = 8'hff; 
     Green = 8'hff; 
     Blue = 8'hff; 
    end 
     else if ((enemyAppear == 1'b1)) 
    begin 
     Red = 8'hff; 
     Green = 8'h00; 
     Blue = 8'h2f; 
    end 
    else 
    begin 
     Red = 8'h3f; 
     Green = 8'h00; 
     Blue = 8'h3f; //- DrawX[9:3]; 
    end  
end 

答えて

0

あなたは

24'hRRGGBBとして24ビットレジスタの色情報を記憶することができる(RR - 赤、GG - グリーン、BB - ブルー) - オンピクセル

35px X 20ピクセル= 700px 、700 * 3バイト= 2100バイト

最初の35 * 3 =メモリの105バイトはイメージの最初の行です。

秒35 * 3 = 105バイトのメモリは、イメージの2番目の行です。

....

FPGAブロックRAMを使用できます。

関連する問題