2016-06-20 6 views
0

プロジェクトの名前は、私は、アルテラでVHDLプログラムをコンパイルしようとすると、それは「エラー(12007)が示している「8051」"トップレベルデザインエンティティは定義されていません" ...どういう意味ですか?

として保存されている間、これは、コードで、IR.vhdとして、それを保存:トップレベル設計エンティティ "8051"は定義されていません "...それはどういう意味ですか?

ライブラリieee;

use ieee.std_logic_1164.all; 

use ieee.std_logic_unsigned.all; 

use ieee.std_logic_arith.all; 



entity IR is 

port(clk,rst,pb1:in std_logic; 

irreg:in std_logic_vector(15 downto 0); 

ops:out std_logic_vector(2 downto 0); 

modes:out std_logic; 

loc1:out std_logic_vector(3 downto 0); 

loc2ordata:out std_logic_vector(7 downto 0)); 

end IR; 



architecture rtl of IR is 



signal ireg: std_logic_vector(15 downto 0); 



begin 



process (pb1) 

begin 

if(pb1='0')then --I am going to set up to feed in one instruction at a time 

ireg<=irreg; --the instruction is executed when pb1 is pressed 

end if; 

end process; 

ops<=ireg(15 downto 13); 

modes<=ireg(12); 

loc1<=ireg(11 downto 8); 

loc2ordata<=ireg(7 downto 0); 

end rtl; 
+1

[アルテラQuartusのエラー(12007):トップレベルのデザインエンティティ "alt \ _ex \ _1"の可能な複製は未定義](http://stackoverflow.com/questions/25832326/altera-quartus-error-12007- – Qiu

+0

@Qiuのリンクを確認するか、Tclコンソールを使用している場合は、次のコマンドを実行してトップレベルのエンティティを設定することができます: 'set_global_assignment -name TOP_LEVEL_ENTITY IR –

答えて

0

私が気付いたことは、トップレベルのエンティティ名がファイル名とモジュール名と同じである必要があることです。したがって、トップレベルのIRを呼び出した場合、そのファイルはおそらくIR.vです。今ではファイル名を大文字にすることはないので、大文字と小文字のマッチングが重要かどうかは実際にはわかりません。

関連する問題