0
16行目にこのメッセージが表示されています。「 '」の近くに構文エラーがあります。私の間違いが何であるか分かりません。どんな助けでも大歓迎です!プロセスでif/thenステートメントを使用するとVHDL構文エラーが発生する
library IEEE;
use IEEE.std_logic_1164.all;
entity SystemI is
port (ABCD : in std_logic_vector(3 downto 0);
F : out std_logic);
end entity;
architecture SystemI_arch of SystemI is
begin
process (ABCD)
begin
if (ABCD='0001') then
F <= '1';
elsif (ABCD='0011') then
F <= '1';
elsif (ABCD='1001') then
F <= '1';
elsif (ABCD='1011') then
F <= '1';
else
F <= '0';
end if;
end process;
end architecture;
[VHDLの構文エラー]の可能な重複(http://stackoverflow.com/questions/:
また、あなたが同等の選択信号の割り当てを使用してプロセス全体を置き換えることができます29478821/vhdl-syntax-error) – user1155120