2016-09-11 11 views
0

コンパイルがうまくいくVerilogコードがあります。私はVSIMでシミュレーションファイル(.wlf)を開くとき、私は次のエラーを取得する:マップチャンネルを読みながら失敗:Modelsimエラー:マップチャネルを読み取っているときにエラーが発生する

私の転写産物は、以下の

のOpenFile vsim.wlf WLF警告を言います。 **注:(vish-4074)ファイルvsim.wlfはまだ開いており、ライブビューモードでファイルを表示しています。 WLFファイルvsim.wlfにはコンテキスト情報が含まれていません。

何か助けていただければ幸いです。 ありがとう

+0

進行中のシミュレーションまたは完成したシミュレーション用にwlfファイルを開いていますか?これは、破損したwlfファイルを表示しようとしたときに表示されるメッセージ、またはまだデータが存在しないメッセージのように見えます。 – Teajay

+0

No.実際には、関連するファイルをすべて削除して、すべてを再構築しました。それでも私には同じエラーが表示されます。ちなみに何がありますか**地図チャネル**の読み込み中にエラーが発生しましたか? –

答えて

0

実際、私はwlfファイルを使用しません。だから、なぜwlfファイルを開く必要があるのか​​わかりません。

波のウィンドウでいくつかの信号を見るだけでは十分ではありませんか?

関連する問題