2017-07-06 1 views
0

私はこの簡単なコードを書いています。しかし、私がModelSimを使ってそれをシミュレートしようとすると、ライブラリをマップできないというエラーが表示されます。どのようにソートするのですか?Modelsim "ライブラリのマップに失敗しました"エラー

library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 

entity p1 is 
    Port (a : in STD_LOGIC; 
      b : in STD_LOGIC; 
      c : out STD_LOGIC); 
end p1; 

architecture Behavioral of p1 is 

begin 

c <= a or b; 

end Behavioral; 

これはエラーです:

ERROR: Failed to map the library

+0

ザイリンクスタグを削除してください。どのライブラリでファイルをコンパイルしようとしていますか?そのライブラリと論理マッピングを作成しましたか? – JHBonarius

答えて

0

は、おそらくあなたは、ディレクトリを変更し、マッピングがあなたのmodelsim.iniに存在するが、ライブラリworkは、そこに作成されません。転写産物で

タイプ:

vlib work 

ライブラリworkを作成します。

編集:マッピングは、次のコマンドを追加する(すなわち、上記の溶液は、この問題を解決しない)が欠落している場合:

vmap work work 

P.S.愚かなことです: "work"は予約されたキーワード( '現在のライブラリ'を意味する)であるため、modelsimは "work"という名前のライブラリにデフォルトするべきではありません。しかしそれは無視されています。それは数十年の間の問題/バグだったので...

関連する問題