2016-02-23 15 views
6

ザイリンクスFPGAのMGTレーンで信号を送信したい(どのタイプの信号でも、ランダムなバイナリでもかまいません)。これは、PCB上のMGTトレースをテストするためのものです。私はこれを達成する最も単純な方法は何ですか?通常のIOの場合は、単に出力バッファ(OBUF)を使用し、信号を出力ピンに送ります。 MGTバンクピンのこれと同等(またはこれと同等のもの)は何ですか?ザイリンクスFPGAのMGTで信号を送信する最も簡単な方法は何ですか?

EDIT: できるだけipcoresから遠ざかりたいです。私は何とかMGTピンに信号をバッファするための本当に簡単なソリューションを探しています。

+1

最も簡単な解決策は、オーロラプロトコルを使用することです。それ以外の場合は、FPGAのトランシーバ・ガイドを読むことをお勧めします。 MGTは特別な銀行にあります。彼らはI/O-BUFだけのPADを持っていません... – Paebbels

答えて

2

TXレーンとRXレーンの両方がある場合は、ループバックテストを実行することをお勧めします。 FPGAはTXリンクでデータを生成し、RXで受信して結果を比較します。

これを行うには、TXレーンをPCBコネクタのRXレーンに接続し、FPGAのIbertコアを使用して、送信、受信、比較回路を自動的に作成し、各レーンで良い結果を得られます。

7はこちらシリーズは、イベールコア http://www.xilinx.com/products/intellectual-property/ibert_7series_gtx.html

へのリンクは、他の家族のためにイベールも利用可能です。

関連する問題