私はIPコアを書いていますが、ユーザーが選択する汎用パラメータに応じて、すべてのOUT/INポートが必要であるとは限りません。オプションのポートを持つことは可能ですか?私はXilinx IPコアを使用する場合、すべてのPORTが含まれているわけではないため、同様のことが可能であることを知っています。オプションのVHDLのPORT?
1
A
答えて
4
ポートはオプションではありませんが、ポートの使用方法はデザイナーの場合と同じです。
マッピングされていない(使用されている)入力ポートは、エンティティ内にデフォルト値を持つ必要があり、出力ポートはマップされないままにすることができます。
エンティティは、例えばように宣言された場合:
entity mdl_sub is
generic(
A_C_USE : boolean := FALSE;
B_D_USE : boolean := FALSE);
port(
clk_i : in std_logic;
rst_i : in std_logic;
a_i : in std_logic := 'X';
b_i : in std_logic := 'X';
c_o : out std_logic;
d_o : out std_logic);
end entity;
そしてモジュールは、ポートの使用は、構成に基づいて異なることができる以下のような異なる構成で使用することができる。
-- Using port a_i and c_o
mdl_sub_0 : entity work.mdl_sub
generic map(
A_C_USE => TRUE)
port map(
clk_i => clk_i,
rst_i => rst_i,
a_i => m0_a_i,
c_o => m0_c_o);
-- Using port b_i and d_o
mdl_sub_1 : entity work.mdl_sub
generic map(
B_D_USE => TRUE)
port map(
clk_i => clk_i,
rst_i => rst_i,
b_i => m1_b_i,
d_o => m1_d_o);
すべての信号とポートはstd_logic
です。
関連する問題
- 1. VHDLケースステートメントのオプションの順番
- 2. jQueryの-PT 2
- 3. PythonのIsPointInsideSegment(pt、line)
- 4. VHDLの説明
- 5. VHDLでのサブプロシージャコール
- 6. VHDLのシミュレーションエラー
- 7. VHDLのBRAM_INIT
- 8. ptの代わりにemを使用
- 9. ジェネリックのVHDL定数
- 10. VHDLの汎用パッケージ
- 11. パイプラインアキュムレータVHDL
- 12. VHDLのフレキシブル/ジェネリックデコーダのアイデア
- 13. 文はVHDL
- 14. DフリップフロップをVHDL
- 15. VHDLログイン端末
- 16. VHDLとFPGA
- 17. VHDL STD_LOGIC_VECTORワイルドカード値
- 18. VHDLエラー "begining expect"
- 19. エンコーダVHDLコード
- 20. VHDLテストの結果は
- 21. VHDLの簡単なAES
- 22. VHDL std_logic_vectorsのアレイはSTD_LOGIC_VECTORに
- 23. VHDLでコードをシリアライズ
- 24. VHDL「For」Loop Null Range
- 25. TIC-tac-toe in VHDL
- 26. Flash CS4でPTからPXへのフォント値の変更
- 27. 可変数の入出力のVHDL
- 28. VHDLの問題std_logic_vector場所の値
- 29. 0以外のVHDLフリップフロップのリセット
- 30. GHDLでのVHDL解析の問題
すべてのポートが含まれているとはどういう意味ですか?それらはVHDLジェネレータツールによって放棄されていますか? –
私はもう一度調べてみましたが、IPコアのVivadoラッパーによって自動的に生成されたPORTには含まれていませんが、ラッパーのコンポーネント宣言には含まれているようです。 IPコアで同じことが起こるのであれば私は不思議です。 – refDL