2011-09-17 8 views
2

私は半加算器の実装を含むいくつかのVHDLコードを書いています。数行のコードであり、バグもありません。GHDLでのVHDL解析の問題

ghdlでコンパイルすると、対応するvhdlファイルのファイルが.oになります。私はghdl -e filenameを実行するときしかし、次のエラーが発生します。

*error: cannot find entity or configuration demo* 
*/usr/lib/ghdl/bin/ghdl: compilation error* 

誰が助けることはできますか?事前に感謝します

答えて

7

ghdl -eコマンドは、ファイル名の代わりにエンティティ名をとります。

私はghdlの例を周りに置いたことがありました。それはとても単純が、それはあなたがtb_adder実行することができた後、コンパイルされるはずですmakeと入力、Makefileを持っている:感謝の http://kapsi.fi/~jpa/stuff/other/ghdl-example.tar.gz

+0

ロットを、それは素晴らしい!!!!!!!!を働きました – Quamar