2011-10-24 7 views
0

VHDLコードに2つのDマトリックスタイプがあり、すべての要素が「実際」のタイプです。このようなVHDLのファイルに実際のデータ型を書き込む方法は?

何か:

type type1 is array (1 to 50,1 to 50) of real; 

今私はfile.Each行は、私はそれを行うことができますどのようにカンマで区切られた行で記述する必要があるテキストにこの行列全体を書きたいですか?

私はtextioパッケージを調べましたが、実際のデータ型としてどのように使用するかはわかりませんでした。

カスタムパッケージはありますか?

答えて

1

スタートtextio

use textio.all; 

便利な変数をいくつ使用して:

variable s : line; 
    file output : text; 

をメインのコードは次のようなものになる:

for y in image1'range(2) loop 
     for x in image1'range(1) loop 
     write(s,real'image(image1(x,y)); 
     end loop; 
     writeline(output,s); 
    end loop; 

を私がで追加することができ残しておきますコンマ区切り文字、必要なヘッダー/フッターなどがあります。

+0

ありがとうたくさん..あなたのアイデアはいつものように魅力的に働いていました.. :) – vipin

1

real'image(value)実際の値の文字列表記を取得したことがありますか?

+0

とします。 signal image1:type1; 次に、上記の属性を使用した簡単な例を教えてください。 – vipin

+0

この[VHDL textio](http://www.gmvhdl.com/textio.htm)チュートリアルを確認してください。 – mouviciel

関連する問題