2012-04-20 9 views
2

私はトップレベルのVerilogモジュールを持っています。このモジュールは、レコードであるいくつかの出力を持つVHDLブロックをインスタンス化します。VerilogモジュールからVHDLレコード出力にアクセスできますか?

Verilogトップからこれらのレコードにアクセスする良い方法はありますか、それともレコードを分割するほうがいいですか?

+1

私が読んだことは、VHDLブロックの周りにVHDLラッパーを作成し、あなたが言及したようなレコードタイプを打ち破ることです。 –

+0

ああ良い考え。私の元々の考えはポートを再定義することでしたが、単純なラッパーはよりクリーンで簡単です。ありがとう! – JohnFarl

答えて

1

(警告付きで)できます。 "レコード"と同じタイプの "構造体"を作成します。これらの2つは、接続の順序を変更しない限り、1:1をマッピングします。あなたのツールが実際にそれをサポートしているかどうか注意してください。

+0

Modelsimで試してみましたが、動作させることはできません。私はVHDLラッパーを使用しなければならなかった。 – Russell

関連する問題