私は「入力が無制限であれば結局は出力を得る」と言いたいのですが、どうすればいいですか?アサートでSystemVerilogシーケンスプロパティを使用するにはどうすればよいですか?
スクリプトの他の部分では、入力の量が限られていると仮定して、「入力が無制限である」と書いて、それをグローバルに保持することはできません。
これまでのところ、私が書いたのプロパティ:
property always_another_valid_input;
@(posedge clock) ##[1:$] valid_input;
endproperty
property foo;
@(posedge clock) always_another_valid_input |-> ##[0:$] bar == 1;
endproperty
assert property (foo);
を私はこれを実行すると、私はエラーを取得:property instance always_another_valid_input is not allowed in sequence expression
を。
|->
のどちらかの側を非シーケンスプロパティに置き換えると、まだエラーが発生します。両側が非シーケンスプロパティである場合にのみ機能します。
これを回避する良い方法はありますか?
property_expr ::=
...
sequence_expr|->
property_expr
sequence_expr|=>
property_expr
左側がなければなりません: