2017-09-09 1 views
0

私は4bitsの登録があります。Verilogで '1'とは何ですか?

reg[3:0] a; 

は、私はどうやらそれは私がVerilogのために新しいとその構文について確認していない同じ1'b1のと1 ない

a <= '1; 

みたいに単一のビットを割り当てます。 誰でも私を教えてください。

+1

すべての構文については、無料のIEEE Std 1800-2012 – toolic

答えて

2

これはすべてのビットを1に設定します。

+6

で説明しています。これはVerilogではなく、SystemVerilog専用です。 「1」は実際には、表現の文脈をすべての文脈で満たすことを意味します。 –

関連する問題