signals

    2

    1答えて

    私はBeagleBone Blackでプログラムをデバッグしようとしています。デバッガの外側では、不正な結果が生成されますが、SIGILLは生成されません。また、ブレークポイントなしでデバッガでOKを実行します。ただし、ステップ実行時にブレークポイントが設定されたSIGILLが生成されます。プログラムとライブラリはSIGILLベースのcpu機能プローブを使用していません。しかし、GDBが何をしてい

    1

    1答えて

    MariaDB信号がどのように動作するのかよくわかりません。 文字列を入力として受け取るストアドプロシージャがあります。私は有効な文字のためにその文字列をテストしています。無効な文字が見つかった場合は、そのエラーが無効であるという信号を送信したいと思います。 SIGNAL SQLSTATE '......' SET MESAGE_TEXT='......'が発生した場合、ストアドプロシージャはすぐ

    3

    1答えて

    Cでは、シグナルハンドラはタイプvolatile sig_atomic_tの変数にしかアクセスできません。 perlでは、この種のシグナルハンドラを実行するのは安全です(そしてアトミック)? my $p = 0; $SIG{CHLD} = sub { while (waitpid(-1, WNOHANG) > 0) { $p--; } }; 私のメインスレ

    0

    2答えて

    私はいくつかの時間がかかる作業を行うために私のモデルのいくつかでは.post_saveを使用しています。どのユーザーが実際に信号を送信しているのかを確認したい。それを行う方法はありますか?

    1

    2答えて

    私はPythonとmatplotlibのでは初心者です、大規模な検索が便利な何かをもたらすので、ここで行きませんでした: 私は、デバイスからdata(秒あたり256個のサンプル)後天います。 以下のコードは、データセットを再作成(およびプロット)します。 # Import modules import numpy as np import matplotlib.pyplot as plt #

    1

    1答えて

    を避けるために、post_save信号を切断これは私のモデルである: class Paper(models.Model): ... collection = models.ForeignKey(Collection, on_delete=models.CASCADE) rang = models.IntegerField(default=0) class M

    0

    2答えて

    私のスタックにpthread_cond_waitを待っているコードがあります。シグナルは同じプロセスに送られますが、pthread_cond_waitを通知するシグナルハンドラには "pthread_cond_signal"コードはありませんが、それでもpthread_cond_waitは解放されます。待機を解除するために通常は "pthread_cond_wait"を行う他のスレッドがありますが

    2

    1答えて

    Fortranでプログラムを開発する際に、いくつかの反復プロシージャを使用するため、反復を手動で停止する必要がありました(プログラム終了なしで反復ループを終了する必要があります)。 私はプロセスに信号を送信することにしました。私はSIGALRMを選んだ。私はそれが予期せぬ結果なしに閉じ込めることができることを確認しました。 受信信号を受信すると、フラグの値が変更されます。このフラグは繰り返しループ

    0

    1答えて

    pthread条件変数を待っていますが、SIGUSR1(またはその他の信号)を受け取ったときにpthread_cond_signalや偽のウェイクアップが原因でシグナルが待っているのを待って停止するのを検出しません。これどうやってするの?

    0

    1答えて

    私のC++ 11プロジェクトでは、無限に動作する2つのスレッドを生成する必要があります。ここに例があります: static vector<int> vec; static std::mutex mtx; static std::condition_variable cond; static bool done = false; void f1() { while(!done)