signals

    1

    1答えて

    Simulinkで使用可能な標準ブロックを使用せずに正弦波を生成しようとしています。今は位相角と周波数の定数を使用していますが、最終的にはこれを変更して標準ブロックを使用しないようにしたいと考えています。ここでスコープ出力 出力が正弦波ではない、ここでSimulinkモデル あります。 私はここで何をねじれていますか? 固定ステップオートソルバを使用しています。

    1

    1答えて

    #include <stdlib.h> #include <stdio.h> #include <signal.h> int current = 0; void sigint_handle(int sig) { printf("sigint: %d\n", current); } int main() { sigset(SIGINT, sigint_hand

    0

    1答えて

    私は、dbc-filesを使用してCANメッセージから信号を抽出する方法について読んでいます。私が知る限り、CANメッセージから信号を抽出するためには、dbcファイルごとに特定のコード(マイクロコントローラなど)を記述(または自動生成)する必要があります。つまり、CANメッセージを理解するためにコードを事前にコンパイルする必要があります。 私の質問です:事前コンパイルされたコードなしでCANメッセ

    2

    1答えて

    トリガーがエラーなしで戻ってきます。しかし、私はそれをテストすると、エラーメッセージは返されず、テストデータはテーブルに格納されています..私がしたいものではありません。 は、基本的に(これは授業のためのものである)、Iはバルセロナ以外の場所がテーブルに挿入されているエラーメッセージを表示するトリガしたいです。 これは私の引き金です。私が言ったように、エラーは戻って来ないが、うまくいかない? Lo

    0

    1答えて

    私は3つの変数を持つシンボリック関数を作成したい:xはベクトルで、sとmはスカラーです。次に、mとsを0と1に、そしてxを間隔[-10, 10]にまたがってプロットするとします。私は以下を試した: syms x m s %x= y(x)=((1/(s*sqrt(2*pi)))*exp(-1/2*((x-m)/s)^2)) m=0 s=1 yx=subs(y) y yx fplot(

    0

    1答えて

    私は2つのforループと最初のforループ(1〜5の出力とその間の1秒のスリープ)の間にSIGINTとSIGQUITをブロックするプログラムを作成しています。ループのみがブロックされます。私は正常に最初のループのための正しい信号をブロックし、次に2番目のループで、私はSIGQUITをブロックしようとしますが、両方の信号はまだブロックされているようです。ここで は、私は今のよう持っているものです。

    0

    1答えて

    (私はシンプルexpect様のプログラムを開発しています。) たとえばは私がfork()とexec()別のプログラムprog2プログラムprog1.cを持っています。 prog2がシグナル(例えば、SIGPIPE)によって殺された場合、prog1は、waitpid(),WIFSIGNALED()およびWTERMSIG()でその終了状態を得ることができる。その後、prog1はprog1の呼び出し元(

    0

    1答えて

    を上げないことを除い/ import platform import signal import threading import time class TimeoutListener(object): def __init__(self, timeout_seconds, error_message="Timeout executing."): self.timeo

    1

    2答えて

    ここでは、スリープ中に親にSIGINT信号を送りたいと思います。私はプログラムの後に書くことでそれを試みました。このプログラムでは、親からのSIGINTのシグナルハンドラがまったく実行されていないのはなぜですか?ここ はコードです: #include<stdio.h> #include<signal.h> #include<unistd.h> #include<stdlib.h> void

    0

    1答えて

    具体的に送信された信号にプロセスがどのように応答できるかを知っています(例えば、SIGINT、SIGTERM、SIGUSR2など)。しかし、別のプロセスに送られたシグナルをプロセスに通知することはできますか?